if (toggle_leds) { BSP_LedSet(1); BSP_LedSet(0); if (led0_time_tmp > led1_time_tmp) { Delay(led1_time_tmp); BSP_LedClear(1); Delay(led0_time_tmp); BSP_LedClear(0); } else if (led1_time_tmp > led0_time_tmp) { Delay(led0_time_tmp); BSP_LedClear(0); Delay(led1_time_tmp); BSP_LedClear(1); } toggle_leds = 0; }