module test_1( input[15:0] a,b, input[0:0] c_en,sel_en,h_ab,h_sel,gate_en, output reg[0:0] gt_lo_eq, output reg[7:0] h_out, output reg[31:0] err_out, output reg[2:0] sum_2a, output reg[15:0] rsr_b,out_xor ); integer i,j; initial begin gt_lo_eq = 1'b0; sum_2a = 3'b000; h_out = 7'b0000000; for (i=0; i<16; i=i+1) begin rsr_b[i] = 1'b0; out_xor[i] = 1'b0; end for (i=0; i<32; i=i+1) begin err_out[i] = 1'b0; end end always @(c_en, sel_en, gate_en) begin if(c_en == 1 && sel_en == 1 && gate_en == 1) begin for(i=0; i<32; i=i+1) err_out[i] = 1'b1; end else begin for (i=0; i<32; i=i+1) err_out[i] = 1'b0; if (c_en == 1) begin if(a > b) begin gt_lo_eq = 1'b0; end else if(a