rdAddr: process(resetAddrManager, RX_FRAMECLK_I)
variable timer : integer range 0 to RX_GB_READ_DLY;
variable readAddress : integer range 0 to 7;
begin
if resetAddrManager = '1' then
readAddress := 1;
readRSM_s <= e0_wait;
timer := 0;
READY_O <= '0';
READ_ADDRESS_O <= (others => '0');
elsif rising_edge(RX_FRAMECLK_I) then
if RX_CLKEN_i = '1' then
case readRSM_s is
when e0_wait => if timer >= RX_GB_READ_DLY then
readRSM_s <= e1_read;
else
timer := timer + 1;
end if;
when e1_read => readAddress := readAddress + 1;
-- VSIMNI SI TOHLE IFU !!!
if readAddress = 1 then -- Ready after one full read to be sure that all register contains true data
READY_O <= '1';
end if;
end case;
READ_ADDRESS_O <= std_logic_Vector(to_unsigned(readAddress, 3));
end if;
end if;
end process;
Replies to Re: Re: vhdl
Title |
Name |
Language |
UNIX |
When |
Re: Re: Re: vhdl |
dodotronix |
vhdl |
1692692199 |
8 Months ago. |
{"html5":"htmlmixed","css":"css","javascript":"javascript","php":"php","python":"python","ruby":"ruby","lua":"text\/x-lua","bash":"text\/x-sh","go":"go","c":"text\/x-csrc","cpp":"text\/x-c++src","diff":"diff","latex":"stex","sql":"sql","xml":"xml","apl":"apl","asterisk":"asterisk","c_loadrunner":"text\/x-csrc","c_mac":"text\/x-csrc","coffeescript":"text\/x-coffeescript","csharp":"text\/x-csharp","d":"d","ecmascript":"javascript","erlang":"erlang","groovy":"text\/x-groovy","haskell":"text\/x-haskell","haxe":"text\/x-haxe","html4strict":"htmlmixed","java":"text\/x-java","java5":"text\/x-java","jquery":"javascript","mirc":"mirc","mysql":"sql","ocaml":"text\/x-ocaml","pascal":"text\/x-pascal","perl":"perl","perl6":"perl","plsql":"sql","properties":"text\/x-properties","q":"text\/x-q","scala":"scala","scheme":"text\/x-scheme","tcl":"text\/x-tcl","vb":"text\/x-vb","verilog":"text\/x-verilog","yaml":"text\/x-yaml","z80":"text\/x-z80"}